答案家

 找回密码
 立即注册
查看: 1481|回复: 2

《EDA技术实用教程》第三版 (潘松 黄继业 著)课后习题答案 科学出版社

[复制链接]

1万

主题

1万

帖子

80万

积分

校长

Rank: 9Rank: 9Rank: 9

积分
808812
发表于 2016-10-15 16:49:41 | 显示全部楼层 |阅读模式
3-3  给出一个4选1多路选择器的VHDL描述。选通控制端有四个输入:S0、S1、S2、S3。当且
仅当S0=0时:Y=A;S1=0时:Y=B;S2=0时:Y=C;S3=0时:Y=D。 --解:4选1多路选择器VHDL程序设计。     LIBRARY  IEEE;  
    USE IEEE.STD_LOGIC_1164.ALL;     ENTITY mux41a IS
      PORT(    A,B,C,D :  IN STD_LOGIC;            S0,S1,S2,S3 :  IN STD_LOGIC;                      Y : OUT STD_LOGIC);     END ENTITY mux41a;
    ARCHITECTURE one OF mux41a IS
      SIGNAL S0_3 : STD_LOGIC_VECTOR(3 DOWNTO 0);     BEGIN
      S0_3<=S0&S1&S2&S3;
      y<=A  WHEN S0_3="0111" ELSE          B  WHEN S0_3="1011" ELSE          C  WHEN S0_3="1101" ELSE          D  WHEN S0_3="1110" ELSE          'Z';
    END ARCHITECTURE one;

游客,如果您要查看本帖隐藏内容请回复
回帖码请关注我们的公众号获取。

请在电脑访问我们的网站下载答案,手机下载可能会造成答案不正常显示!QQ群627816650公告有详细步骤。

该答案由网友整理提供,如果答案不符请扫描关注我们的公众号反馈给我们。

0

主题

4

帖子

46

积分

幼儿园

Rank: 1

积分
46
发表于 2019-5-24 15:34:18 | 显示全部楼层
优秀,感谢楼主,好好学习

0

主题

3

帖子

37

积分

幼儿园

Rank: 1

积分
37
发表于 2019-6-17 17:22:20 | 显示全部楼层
SADADSADSDA
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

CopyRight(c)2016 www.daanjia.com All Rights Reserved. 本站部份资源由网友发布上传提供,如果侵犯了您的版权,请来信告知,我们将在5个工作日内处理。
快速回复 返回顶部 返回列表