答案家

 找回密码
 立即注册
查看: 3810|回复: 3

EDA技术实用教程课后答案潘松_黄继业

[复制链接]

1万

主题

1万

帖子

80万

积分

校长

Rank: 9Rank: 9Rank: 9

积分
809172
发表于 2016-10-29 17:34:40 | 显示全部楼层 |阅读模式
3-3  给出一个4选1多路选择器的VHDL描述。选通控制端有四个输入:S0、S1、S2、S3。当且
仅当S0=0时:Y=A;S1=0时:Y=B;S2=0时:Y=C;S3=0时:Y=D。 --解:4选1多路选择器VHDL程序设计。     LIBRARY  IEEE;  
    USE IEEE.STD_LOGIC_1164.ALL;     ENTITY mux41a IS
      PORT(    A,B,C,D :  IN STD_LOGIC;            S0,S1,S2,S3 :  IN STD_LOGIC;                      Y : OUT STD_LOGIC);     END ENTITY mux41a;
    ARCHITECTURE one OF mux41a IS
      SIGNAL S0_3 : STD_LOGIC_VECTOR(3 DOWNTO 0);     BEGIN
      S0_3<=S0&S1&S2&S3;
      y<=A  WHEN S0_3="0111" ELSE          B  WHEN S0_3="1011" ELSE          C  WHEN S0_3="1101" ELSE          D  WHEN S0_3="1110" ELSE          'Z';
    END ARCHITECTURE one;  

3-4 给出1位全减器的VHDL描述;最终实现8位全减器。要求:
1)首先设计1位半减器,然后用例化语句将它们连接起来,图

游客,如果您要查看本帖隐藏内容请回复
回帖码请关注我们的公众号获取。

请在电脑访问我们的网站下载答案,手机下载可能会造成答案不正常显示!QQ群627816650公告有详细步骤。

该答案由网友整理提供,如果答案不符请扫描关注我们的公众号反馈给我们。

0

主题

3

帖子

63

积分

幼儿园

Rank: 1

积分
63
发表于 2017-10-29 22:33:00 | 显示全部楼层
谢谢楼主分享

0

主题

7

帖子

193

积分

小学生

Rank: 2

积分
193
发表于 2021-4-5 21:11:27 | 显示全部楼层
,,,,,,,,,,,

0

主题

3

帖子

39

积分

幼儿园

Rank: 1

积分
39
发表于 2021-5-12 21:31:15 | 显示全部楼层
6666666666666666
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

CopyRight(c)2016 www.daanjia.com All Rights Reserved. 本站部份资源由网友发布上传提供,如果侵犯了您的版权,请来信告知,我们将在5个工作日内处理。
快速回复 返回顶部 返回列表